맞춤기술찾기

이전대상기술

스캔 셀 파티션에 기반을 둔 X-FILLING 및 저전력 스캔 셀 재배열 장치 및 방법

  • 기술번호 : KST2019033754
  • 담당센터 : 서울서부기술혁신센터
  • 전화번호 : 02-6124-6930
요약, Int. CL, CPC, 출원번호/일자, 출원인, 등록번호/일자, 공개번호/일자, 공고번호/일자, 국제출원번호/일자, 국제공개번호/일자, 우선권정보, 법적상태, 심사진행상태, 심판사항, 구분, 원출원번호/일자, 관련 출원번호, 기술이전 희망, 심사청구여부/일자, 심사청구항수의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 서지정보 표입니다.
요약 X-filling 및 저전력 스캔 셀 재배열에 관한 것으로서, 일측에 따른 저전력 스캔 테스트 장치는, 테스트 대상 집적회로(IC: Integrated circuit)의 스캔 셀 배치 정보를 획득하는 스캔 셀 배치 정보 획득부, 상기 스캔 셀 배치 정보에 기초하여 상기 테스트 대상 집적 회로를 복수의 파티션(partition)으로 구분하고, 각각의 파티션에 포함되는 스캔 셀의 개수를 조정하는 파티셔닝부, 및 상기 구분된 파티션 별로 스캔 셀을 재 배열하고 스캔 셀 재배열 정보를 생성하는 제어부를 포함한다.
Int. CL G01R 31/3185 (2006.01.01) G01R 31/3183 (2006.01.01)
CPC G01R 31/318544(2013.01) G01R 31/318544(2013.01) G01R 31/318544(2013.01) G01R 31/318544(2013.01) G01R 31/318544(2013.01)
출원번호/일자 1020160012440 (2016.02.01)
출원인 연세대학교 산학협력단
등록번호/일자 10-1681862-0000 (2016.11.25)
공개번호/일자
공고번호/일자 (20161202) 문서열기
국제출원번호/일자
국제공개번호/일자
우선권정보
법적상태 등록
심사진행상태 수리
심판사항
구분 신규
원출원번호/일자
관련 출원번호
심사청구여부/일자 Y (2016.02.01)
심사청구항수 12

출원인

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 출원인 표입니다.
번호 이름 국적 주소
1 연세대학교 산학협력단 대한민국 서울특별시 서대문구

발명자

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 발명자 표입니다.
번호 이름 국적 주소
1 강성호 대한민국 서울특별시 마포구
2 서성열 대한민국 서울특별시 송파구

대리인

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 대리인 표입니다.
번호 이름 국적 주소
1 김연권 대한민국 서울특별시 송파구 법원로 ***, ****/****호(문정동, 문정대명벨리온)(시안특허법률사무소)

최종권리자

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 최종권리자 표입니다.
번호 이름 국적 주소
1 연세대학교 산학협력단 대한민국 서울특별시 서대문구
번호, 서류명, 접수/발송일자, 처리상태, 접수/발송일자의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 행정처리 표입니다.
번호 서류명 접수/발송일자 처리상태 접수/발송번호
1 [특허출원]특허출원서
[Patent Application] Patent Application
2016.02.01 수리 (Accepted) 1-1-2016-0107463-02
2 선행기술조사의뢰서
Request for Prior Art Search
2016.08.10 수리 (Accepted) 9-1-9999-9999999-89
3 선행기술조사보고서
Report of Prior Art Search
2016.10.10 발송처리완료 (Completion of Transmission) 9-6-2016-0134759-58
4 의견제출통지서
Notification of reason for refusal
2016.10.18 발송처리완료 (Completion of Transmission) 9-5-2016-0743953-59
5 [명세서등 보정]보정서
[Amendment to Description, etc.] Amendment
2016.11.10 보정승인간주 (Regarded as an acceptance of amendment) 1-1-2016-1099686-66
6 [거절이유 등 통지에 따른 의견]의견(답변, 소명)서
[Opinion according to the Notification of Reasons for Refusal] Written Opinion(Written Reply, Written Substantiation)
2016.11.10 수리 (Accepted) 1-1-2016-1099669-90
7 등록결정서
Decision to grant
2016.11.18 발송처리완료 (Completion of Transmission) 9-5-2016-0832909-15
번호, 청구항의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 청구항 표입니다.
번호 청구항
1 1
테스트 대상 집적회로(IC: Integrated circuit)의 스캔 셀 배치 정보를 획득하는 스캔 셀 배치 정보 획득부;상기 스캔 셀 배치 정보에 기초하여 상기 테스트 대상 집적 회로를 복수의 파티션(partition)으로 구분하고, 각각의 파티션에 포함되는 스캔 셀의 개수를 조정하는 파티셔닝부; 및상기 구분된 파티션 별로 스캔 셀을 재 배열하고 스캔 셀 재배열 정보를 생성하는 제어부를 포함하는 스캔 셀 재배열 장치
2 2
제1항에 있어서, 상기 파티셔닝부는,상기 구분된 파티션 내의 스캔 셀 중에서 특정 스캔 셀을 기준으로 파티션 경계선을 이동하면서 상기 각각의 파티션에 포함되는 스캔 셀의 개수를 조정하는 스캔 셀 재배열 장치
3 3
제2항에 있어서, 상기 파티셔닝부는,상기 구분된 파티션의 경계선에서 가장 가까운 거리에 위치하는 스캔 셀을 상기 특정 스캔 셀로 결정하는 스캔 셀 재배열 장치
4 4
제1항에 있어서, 상기 제어부는,X-필링 값을 결정하고, 상기 결정된 X-필링 값에 기초하여 상기 스캔 셀을 재 배열하는 스캔 셀 재배열 장치
5 5
제4항에 있어서,상기 제어부는,시뮬레이션 패턴을 생성하고, 상기 생성된 시뮬레이션 패턴에 따른 시뮬레이션을 통해 응답(response) 값을 획득하며, 상기 획득된 응답(response) 값을 이용하여 전이 확률(transition probability)을 계산하고, 상기 계산된 전이 확률(transition probability)에 기초하여 상기 X-필링 값을 결정하는 스캔 셀 재배열 장치
6 6
제1항에 있어서,상기 제어부는,상기 구분된 파티션 별로 스캔 셀에 대한 가중 해밍 거리(weighted hamming distance, WHD)를 계산하고, 상기 계산 결과 파티션 내에서 가장 작은 가중 해밍 거리(weighted hamming distance, WHD)에 해당하는 스캔 셀에 대해 연속으로 재 배열 및 스티칭하는 스캔 셀 재배열 장치
7 7
테스트 대상 집적회로(IC: Integrated circuit)의 스캔 셀 배치 정보를 획득하는 단계;상기 스캔 셀 배치 정보에 기초하여 상기 테스트 대상 집적 회로를 복수의 파티션(partition)으로 구분하고, 각각의 파티션에 포함되는 스캔 셀의 개수를 조정하는 단계; 및상기 구분된 파티션 별로 스캔 셀을 재 배열하고 스캔 셀 재배열 정보를 생성하는 단계를 포함하는 스캔 셀 재배열 방법
8 8
제7항에 있어서, 상기 스캔 셀의 개수를 조정하는 단계는,상기 구분된 파티션의 경계선에서 가장 가까운 거리에 위치하는 스캔 셀을 결정하고, 상기 결정된 스캔 셀을 기준으로 파티션 경계선을 이동하면서 상기 각각의 파티션에 포함되는 스캔 셀의 개수를 조정하는 스캔 셀 재배열 방법
9 9
제7항에 있어서, 상기 스캔 셀을 재 배열하는 단계는,시뮬레이션 패턴을 생성하는 단계;상기 생성된 시뮬레이션 패턴에 따른 시뮬레이션을 통해 응답(response) 값을 획득하는 단계;상기 획득된 응답(response) 값을 이용하여 전이 확률(transition probability)을 계산하는 단계;상기 계산된 전이 확률(transition probability)에 기초하여 X-필링 값을 결정하는 단계; 및상기 결정된 X-필링 값에 기초하여 상기 스캔 셀을 재 배열하는 단계를 포함하는 스캔 셀 재배열 방법
10 10
제7항에 있어서,상기 스캔 셀을 재 배열하는 단계는,상기 구분된 파티션 별로 스캔 셀에 대한 가중 해밍 거리(weighted hamming distance, WHD)를 계산하는 단계; 및상기 계산 결과 파티션 내에서 가장 작은 가중 해밍 거리(weighted hamming distance, WHD)에 해당하는 스캔 셀에 대해 연속으로 재 배열 및 스티칭하는 단계를 포함하는 스캔 셀 재배열 방법
11 11
스캔 셀 배치(placement) 정보를 활용하여 파티셔닝 하는 단계;파티션닝된 각 파티션 및 상기 각 파티션 내의 스캔 셀을 0 또는 1로 X-필링하는 시뮬레이션 패턴을 생성하는 단계;상기 생성된 시뮬레이션 패턴을 이용하여 패턴 시뮬레이션을 수행하고, 상기 패턴 시뮬레이션 결과로 전이 확률(transition probability)을 계산하는 단계;상기 각 파티션 및 상기 각 파티션 내 스캔 셀의 X-비트를 0 또는 1로 필링하는 단계;상기 각 파티션 내 스캔 셀 패턴 정보를 활용하여 가중 해밍 거리(weighted hamming distance)를 계산하는 단계; 및상기 계산된 가중 해밍 거리(weighted hamming distance)를 이용하여 스캔 셀을 재배열 하는 단계를 포함하는 스캔 셀 재배열 방법
12 12
제11항에 있어서,상기 전이 확률(transition probability)을 계산하는 단계는,직각 거리를 이용해 스캔 셀의 파티션의 경계를 이동하고, 상기 경계가 이동된 각 스캔 셀을 임시로 재 배열하며, 상기 임시로 재 배열된 스캔 셀을 기반으로 상기 전이 확률(transition probability)을 계산하는 단계를 포함하는 스캔 셀 재배열 방법
지정국 정보가 없습니다
패밀리정보가 없습니다
순번, 연구부처, 주관기관, 연구사업, 연구과제의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 국가R&D 연구정보 정보 표입니다.
순번 연구부처 주관기관 연구사업 연구과제
1 미래창조과학부 연세대학교 산학협력단 중견연구자지원사업 초미세폭 3차원 반도체 제조비용 절감을 위한 설계 및 테스트 기술 연구