맞춤기술찾기

이전대상기술

유사랜덤 비아이에스티 기반의 통합 스캔천이 감소회로 및방법

  • 기술번호 : KST2015124807
  • 담당센터 : 서울서부기술혁신센터
  • 전화번호 : 02-6124-6930
요약, Int. CL, CPC, 출원번호/일자, 출원인, 등록번호/일자, 공개번호/일자, 공고번호/일자, 국제출원번호/일자, 국제공개번호/일자, 우선권정보, 법적상태, 심사진행상태, 심판사항, 구분, 원출원번호/일자, 관련 출원번호, 기술이전 희망, 심사청구여부/일자, 심사청구항수의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 서지정보 표입니다.
요약 본 발명은 스캔에 인가되는 패턴의 조절과 캡쳐된 후 빠져나가는 응답의 천이감소를 통합하여 기존의 분리된 방법에 비해 월등히 좋은 천이 감소효과를 보일 수 있는 통합 스캔천이 감소회로에 관한 것이다. 이를 위해 LFSR(Linear Feedback Shifter Register)의 천이 경향을 감시하여 저전력 패턴을 생성시켜 주는 TMW(Transition Monitoring Window) 패턴생성기를, 스캔으로부터 빠져 나가는 응답(response)이 일으키는 이동 천이를 줄이기 위한 계층적 스캔 구조와 통합하였고 ISCAS'89 벤치마크 회로를 이용하여 실험하였다. 그 결과 TMW에 의해 평균 약 60% 정도의 스캔 인가시 천이를 감소시킨 것을 볼 수 있고 또한 계층 스캔 체인의 도입으로 인해 단순히 TMW만 적용한 구조에 비해 추가적으로 약 25% 정도 더 저전력 효과를 얻었음을 확인할 수 있다. 캡쳐 된 후 스캔으로부터 배출 되는 패턴은 계층 스캔 체인을 적용하지 않았을 때와 계층 스캔 체인이 적용되었을 때의 천이수를 기준으로 비율을 계산하였는데, 평균 약 26% 정도의 천이 절감 효과를 얻을 수 있었다. BIST, 스캔, 천이
Int. CL G11C 29/12 (2006.01)
CPC G01R 31/318552(2013.01) G01R 31/318552(2013.01)
출원번호/일자 1020060051665 (2006.06.09)
출원인 연세대학교 산학협력단
등록번호/일자
공개번호/일자 10-2007-0117715 (2007.12.13) 문서열기
공고번호/일자
국제출원번호/일자
국제공개번호/일자
우선권정보
법적상태 거절
심사진행상태 수리
심판사항
구분
원출원번호/일자
관련 출원번호
심사청구여부/일자 Y (2006.06.09)
심사청구항수 4

출원인

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 출원인 표입니다.
번호 이름 국적 주소
1 연세대학교 산학협력단 대한민국 서울특별시 서대문구

발명자

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 발명자 표입니다.
번호 이름 국적 주소
1 강성호 대한민국 서울 종로구
2 김유빈 대한민국 서울 마포구
3 송동섭 대한민국 서울 강서구

대리인

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 대리인 표입니다.
번호 이름 국적 주소
1 리앤목특허법인 대한민국 서울 강남구 언주로 **길 **, *층, **층, **층, **층(도곡동, 대림아크로텔)

최종권리자

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 최종권리자 표입니다.
번호 이름 국적 주소
최종권리자 정보가 없습니다
번호, 서류명, 접수/발송일자, 처리상태, 접수/발송일자의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 행정처리 표입니다.
번호 서류명 접수/발송일자 처리상태 접수/발송번호
1 특허출원서
Patent Application
2006.06.09 수리 (Accepted) 1-1-2006-0404157-23
2 전자문서첨부서류제출서
Submission of Attachment to Electronic Document
2006.06.12 수리 (Accepted) 1-1-2006-5046864-25
3 선행기술조사의뢰서
Request for Prior Art Search
2007.03.12 수리 (Accepted) 9-1-9999-9999999-89
4 선행기술조사보고서
Report of Prior Art Search
2007.04.11 수리 (Accepted) 9-1-2007-0020562-04
5 의견제출통지서
Notification of reason for refusal
2007.07.25 발송처리완료 (Completion of Transmission) 9-5-2007-0402275-47
6 [거절이유 등 통지에 따른 의견]의견(답변, 소명)서
[Opinion according to the Notification of Reasons for Refusal] Written Opinion(Written Reply, Written Substantiation)
2007.09.19 수리 (Accepted) 1-1-2007-0678503-66
7 [명세서등 보정]보정서
[Amendment to Description, etc.] Amendment
2007.09.19 보정승인간주 (Regarded as an acceptance of amendment) 1-1-2007-0678506-03
8 거절결정서
Decision to Refuse a Patent
2007.12.27 발송처리완료 (Completion of Transmission) 9-5-2007-0702071-99
9 출원인정보변경(경정)신고서
Notification of change of applicant's information
2011.12.15 수리 (Accepted) 4-1-2011-5252006-10
10 출원인정보변경(경정)신고서
Notification of change of applicant's information
2013.04.24 수리 (Accepted) 4-1-2013-5062749-37
11 출원인정보변경(경정)신고서
Notification of change of applicant's information
2013.06.24 수리 (Accepted) 4-1-2013-5088566-87
12 출원인정보변경(경정)신고서
Notification of change of applicant's information
2014.09.25 수리 (Accepted) 4-1-2014-5114224-78
번호, 청구항의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 청구항 표입니다.
번호 청구항
1 1
BIST 장치에 있어서, 스캔에 인가되는 패턴의 천이를 감소시키는 수단과, 캡쳐된 후 스캔으로부터 배출되는 응답의 이동 천이를 감소시키는 수단을 포함하는, 유사랜덤 BIST 기반의 통합 스캔천이 감소회로
2 2
제1항에 있어서, 상기 스캔에 인가되는 패턴의 천이를 감소시키는 수단은 소정 경향의 테스트패턴을 생성하는 LFSR,상기 LFSR에서 출력되는 패턴의 천이수를 소정 기준치(k-value)와 비교하여 천이수가 k-value보다 클 경우는 직전에 스캔에 인가된 패턴을 피드백하여 천이가 억제된 벡터가 스캔에 인가되도록 하고, 상기 천이수가 k-value보다 적을 경우에는 LFSR에서 원래 생성된 패턴을 변형 없이 스캔에 인가되도록 하는 천이감시윈도우를 포함하는, 유사랜덤 BIST 기반의 통합 스캔천이 감소회로
3 3
제2항에 있어서, 상기 k-value는 아래의 식으로 구해지는 것을 특징으로 하는, 유사랜덤 BIST 기반의 통합 스캔천이 감소회로
4 4
제1항에 있어서, 상기 스캔으로부터 배출되는 응답의 이동 천이를 감소시키는 수단은 제1, 제2서브스캔(sub-scan),입력되는 테스트 패턴이 제1서브스캔에 먼저 채워지도록 제1서브스캔을 동작시키는 제1클록과, 제1서브스캔이 채워진 다음에 제2서브스캔을 동작시키는 제2클록을 발생시키는 게이티드 클록모듈,두 서브스캔이 모두 채워졌을 때에 이전 패턴에 의해 발생된 응답이 출력되는 MISR을 포함하는, 유사랜덤 BIST 기반의 통합 스캔천이 감소회로
5 5
BIST 방법에 있어서, 스캔에 인가되는 패턴의 천이를 감소시키는 단계와, 캡쳐된 후 스캔으로부터 배출되는 응답의 이동 천이를 감소시키는 단계를 포함하는, 유사랜덤 BIST 기반의 통합 스캔천이 감소방법
6 6
제5항에 있어서, 상기 스캔에 인가되는 패턴의 천이를 감소시키는 단계는 테스트 패턴의 천이수를 소정 기준치(k-value)와 비교하여 천이수가 k-value보다 클 경우는 직전에 스캔에 인가된 패턴을 피드백하여 천이가 억제된 벡터가 스캔에 인가되도록 하고, 상기 천이수가 k-value보다 적을 경우에는 LFSR에서 원래 생성된 패턴을 변형 없이 스캔에 인가되도록 하는 단계를 포함하는, 유사랜덤 BIST 기반의 통합 스캔천이 감소방법
7 7
제6항에 있어서, 상기 k-value는 아래의 식으로 구해지는 것을 특징으로 하 유사랜덤 BIST 기반의 통합 스캔천이 감소방법
8 8
제5항에 있어서, 상기 스캔으로부터 배출되는 응답의 이동 천이를 감소시키는 단계는 스캔을 제1, 제2서브스캔(sub-scan)으로 분할하는 단계,입력되는 테스트 패턴이 제1서브스캔에 먼저 채워지도록 제1서브스캔을 동작시키는 제1클록과, 제1서브스캔이 채워진 다음에 제2서브스캔을 동작시키는 제2클록을 발생시키는 단계, 두 서브스캔이 모두 채워졌을 때에 이전 패턴에 의해 발생된 응답을 출력하는 단계를 포함하는, 유사랜덤 BIST 기반의 통합 스캔천이 감소방법
지정국 정보가 없습니다
패밀리정보가 없습니다
국가 R&D 정보가 없습니다.