맞춤기술찾기

이전대상기술

병렬 TLC STT MRAM 기반 대용량 LLC 및 이의 동작 제어 방법

  • 기술번호 : KST2019013427
  • 담당센터 : 경기기술혁신센터
  • 전화번호 : 031-8006-1570
요약, Int. CL, CPC, 출원번호/일자, 출원인, 등록번호/일자, 공개번호/일자, 공고번호/일자, 국제출원번호/일자, 국제공개번호/일자, 우선권정보, 법적상태, 심사진행상태, 심판사항, 구분, 원출원번호/일자, 관련 출원번호, 기술이전 희망, 심사청구여부/일자, 심사청구항수의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 서지정보 표입니다.
요약 본 발명은 셀 분할 매핑(Cell Division Mapping)과 조건 블록 스와핑(Conditional Block Swapping)을 적용하여 3-단계의 읽기와 쓰기 발생을 최소화할 수 있도록 한 병렬 TLC STT MRAM 기반 대용량 LLC 및 이의 동작 제어 방법에 관한 것으로, 비트 라인과 소스 라인에 연결되고, 워드 라인에 연결된 트랜지스터에 의해 제어되는 메모리 셀 구조를 갖고, 신호(Flag), 태그(Tag), 캐시 데이터를 저장하는 LLC 블록들을 포함하고, 각각의 LLC 블록은 병렬 TLC MTJ들의 하드 영역, 미디움 영역, 소프트 영역들 중 동일한 영역으로 구성되어, LLC 블록에서 데이터를 접근할 때 셀 분할 매핑에 의해 병렬 TLC MTJ들의 일부 영역에서만 쓰기 또는 읽기 동작이 수행되고, 조건 블록 스와핑으로 셀 분할 매핑이 적용된 LLC에서 병렬 TLC MTJ들의 하드영역으로 구성된 블록에서 쓰기와, 소프트 영역으로 구성된 블록에서 읽기를 감소하도록 제어하는 것이다.
Int. CL G06F 12/0811 (2016.01.01) G06F 1/32 (2019.01.01) G11C 11/16 (2006.01.01)
CPC G06F 12/0811(2013.01) G06F 12/0811(2013.01) G06F 12/0811(2013.01) G06F 12/0811(2013.01)
출원번호/일자 1020180043976 (2018.04.16)
출원인 단국대학교 산학협력단
등록번호/일자 10-1977866-0000 (2019.05.07)
공개번호/일자
공고번호/일자 (20190513) 문서열기
국제출원번호/일자
국제공개번호/일자
우선권정보
법적상태 등록
심사진행상태 수리
심판사항
구분 신규
원출원번호/일자
관련 출원번호
심사청구여부/일자 Y (2018.04.16)
심사청구항수 20

출원인

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 출원인 표입니다.
번호 이름 국적 주소
1 단국대학교 산학협력단 대한민국 경기도 용인시 수지구

발명자

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 발명자 표입니다.
번호 이름 국적 주소
1 장우영 경기도 수원시 영통구

대리인

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 대리인 표입니다.
번호 이름 국적 주소
1 정기택 대한민국 서울특별시 서초구 강남대로**길 **, *층 (반포동, 새로나빌딩)(스카이특허법률사무소)
2 오위환 대한민국 서울특별시 서초구 강남대로**길 **, *층 (반포동, 새로나빌딩)(스카이특허법률사무소)
3 나성곤 대한민국 서울특별시 서초구 강남대로**길 **, *층 (반포동, 새로나빌딩)(스카이특허법률사무소)

최종권리자

번호, 이름, 국적, 주소의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 인명정보 - 최종권리자 표입니다.
번호 이름 국적 주소
1 단국대학교 산학협력단 경기도 용인시 수지구
번호, 서류명, 접수/발송일자, 처리상태, 접수/발송일자의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 행정처리 표입니다.
번호 서류명 접수/발송일자 처리상태 접수/발송번호
1 [특허출원]특허출원서
[Patent Application] Patent Application
2018.04.16 수리 (Accepted) 1-1-2018-0376165-12
2 선행기술조사의뢰서
Request for Prior Art Search
2019.01.21 수리 (Accepted) 9-1-9999-9999999-89
3 선행기술조사보고서
Report of Prior Art Search
2019.03.22 수리 (Accepted) 9-1-2019-0014578-52
4 등록결정서
Decision to grant
2019.04.29 발송처리완료 (Completion of Transmission) 9-5-2019-0306786-51
5 출원인정보변경(경정)신고서
Notification of change of applicant's information
2020.10.26 수리 (Accepted) 4-1-2020-5239146-54
번호, 청구항의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 청구항 표입니다.
번호 청구항
1 1
비트 라인과 소스 라인에 연결되고, 워드 라인에 연결된 트랜지스터에 의해 제어되는 메모리 셀 구조를 갖고, 신호(Flag), 태그(Tag), 캐시 데이터를 저장하는 LLC 블록들을 포함하고,각각의 LLC 블록은 병렬 TLC MTJ들의 하드 영역, 미디움 영역, 소프트 영역들 중 동일한 영역으로 구성되어, LLC 블록에서 데이터를 접근할 때 셀 분할 매핑에 의해 병렬 TLC MTJ들의 일부 영역에서만 쓰기 또는 읽기 동작이 수행되고,조건 블록 스와핑으로 셀 분할 매핑이 적용된 LLC에서 병렬 TLC MTJ들의 하드영역으로 구성된 블록에서 쓰기와, 소프트 영역으로 구성된 블록에서 읽기를 감소하도록 제어하는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
2 2
제 1 항에 있어서, 상기 LLC 블록들이,병렬 TLC MTJ들의 소프트 영역으로 구성되는 블록 A, 병렬 TLC MTJ들의 미디움 영역으로 구성된 블록 B, 병렬 TLC MTJ들의 하드 영역으로 구성된 블록 C를 갖는 경우,데이터가 갱신, 또는 저장되는 LLC 블록이 A라고 하면, 소프트 영역에 1-단계 저항 상태 변환으로 LLC 블록 쓰기가 수행되고,블록 B가 선택되면, 2-단계 저항상태 변환으로 LLC 블록 쓰기가 수행되고,블록 C가 선택되면, 3-단계 저항 상태 변환으로 LLC 블록 쓰기가 수행되는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
3 3
제 2 항에 있어서, 블록 A가 선택되어 LLC 블록 쓰기가 수행되는 과정에서, 소프트 영역을 변환시키는 전류는 미디움 영역과 하드 영역을 변환시키지 못하는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
4 4
제 2 항에 있어서, 블록 B가 선택되어 LLC 블록 쓰기가 수행되는 과정에서, 블록 B를 구성하는 미디움 영역에 쓰기 동작이 먼저 수행되고, 병렬 TLC MTJ에서 미디움 영역을 변환시키는 전류는 하드 영역을 변환시키지 못하기 때문에 블록 C는 원래 저장된 데이터를 유지하고,미디움 영역을 변환시키는 전류는 소프트 영역을 변환시킬 수 있어 블록 A를 구성하는 병렬 TLC MTJ들의 소프트 영역을 복원하기 위한 쓰기 동작이 수행되는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
5 5
제 2 항에 있어서, 블록 C가 선택되어 LLC 블록 쓰기가 수행되는 과정에서,블록 C를 구성하는 하드 영역에 쓰기 동작이 먼저 수행되고, 병렬 TLC MTJ에서 하드 영역을 변환시키는 전류는 미디움과 소프트 영역들을 변환시킬 수 있기 때문에, 블록 B를 구성하는 미디움 영역의 복원과 블록 A를 구성하는 소프트 영역의 복원하는 쓰기 동작이 순차적으로 수행되는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
6 6
제 2 항에 있어서, 셀 분할 매핑이 적용된 LLC에서 블록 읽기는 선택된 블록을 구성하는 병렬 TLC MTJ 영역에 따라 읽기 동작들이 결정되고,병렬 TLC MTJ에서 하드 영역, 미디움 영역, 소프트 영역이 항상 순차적으로 읽혀지는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
7 7
제 6 항에 있어서, 하드 영역으로 구성된 블록 C를 읽을 경우에는 1-단계 저항 상태 탐지가 수행되고, 미디움 영역과 소프트 영역의 탐지는 생략되는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
8 8
제 6 항에 있어서, 미디움 영역으로 구성된 블록 B를 읽을 경우에는 2-단계 저항 상태 탐지가 수행되고,하드 영역을 먼저 탐지한 후, 미디움 영역을 탐지하고, 소프트 영역 탐지는 생략되는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
9 9
제 6 항에 있어서, 소프트 영역으로 구성된 블록 A를 읽을 경우에는 3-단계 저항 상태 탐지가 수행되고,하드 영역과 미디움 영역을 탐지한 후, 소프트 영역을 탐지하는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
10 10
제 1 항에 있어서, 조건 블록 스와핑에 의해 상위 캐시의 갱신 데이터가 셀 분할 매핑이 적용된 LLC에 저장되는 과정에서,갱신될 LLC 블록이 병렬 TLC MTJ의 소프트 영역으로 구성되어 있다면, 갱신 데이터는 그 LLC 블록에 저장되고,갱신될 LLC 블록이 병렬 TLC MTJ들의 미디움 영역으로 구성되어 있다면, 갱신 데이터는 그 미디움 영역의 병렬 TLC MTJ들을 공유하는 소프트 영역으로 구성된 블록으로 바꿔 저장할 수 있는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
11 11
제 1 항에 있어서, 조건 블록 스와핑에 의해 상위 캐시의 갱신 데이터가 셀 분할 매핑이 적용된 LLC에 저장되는 과정에서,갱신될 LLC 블록이 병렬 TLC MTJ들의 하드 영역으로 구성되어 있다면, 갱신 데이터는 그 하드 영역의 병렬 TLC MTJ들을 공유하는 미디움과 소프트 영역으로 구성된 블록으로 바꿔 저장할 수 있는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
12 12
제 1 항에 있어서, 캐시 실패로 발생한 메인 메모리 데이터가 셀 분할 매핑이 적용된 LLC에 저장되는 과정에서,메인 메모리 데이터는 LRU(Least Recently Used) 또는 모조(Pseudo) LRU 알고리즘으로 선택되는 LLC 블록에 저장되고, 선택된 블록이 병렬 TLC MTJ들의 소프트 영역으로 구성되어 있다면, 다른 블록의 데이터는 손상되지 않고,선택된 블록이 미디움 영역으로 구성되어 있다면, 그 미디움 영역과 병렬 TLC MTJ들을 공유하는 소프트 영역으로 구성된 블록의 데이터는 손상되어 복원하고,선택된 블록이 하드 영역으로 구성되어 있다면, 그 하드 영역의 병렬 TLC MTJ들을 공유하는 미디움 영역과 소프트 영역으로 구성된 블록들의 데이터는 손실되어 복원되는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC
13 13
비트 라인과 소스 라인에 연결되고, 워드 라인에 연결된 트랜지스터에 의해 제어되는 메모리 셀 구조를 갖고, 신호(Flag), 태그(Tag), 캐시 데이터를 저장하는 LLC 블록들을 포함하는 병렬 TLC STT MRAM 기반 대용량 LLC의 동작 제어에 있어서,각각의 LLC 블록은 병렬 TLC MTJ들의 하드 영역, 미디움 영역, 소프트 영역들 중 동일한 영역으로 구성되어, LLC 블록에서 데이터를 접근할 때 셀 분할 매핑에 의해 병렬 TLC MTJ들의 일부 영역에서만 쓰기 또는 읽기 동작이 수행되고,조건 블록 스와핑으로 셀 분할 매핑이 적용된 LLC에서 병렬 TLC MTJ들의 하드영역으로 구성된 블록에서 쓰기와, 소프트 영역으로 구성된 블록에서 읽기를 감소하도록 제어하는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC의 동작 제어 방법
14 14
제 13 항에 있어서, 상기 LLC 블록들이,병렬 TLC MTJ들의 소프트 영역으로 구성되는 블록 A, 병렬 TLC MTJ들의 미디움 영역으로 구성된 블록 B, 병렬 TLC MTJ들의 하드 영역으로 구성된 블록 C를 갖는 경우,데이터가 갱신, 또는 저장되는 LLC 블록이 A라고 하면, 소프트 영역에 1-단계 저항 상태 변환으로 LLC 블록 쓰기가 수행되고,블록 B가 선택되면, 2-단계 저항상태 변환으로 LLC 블록 쓰기가 수행되고,블록 C가 선택되면, 3-단계 저항 상태 변환으로 LLC 블록 쓰기가 수행되는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC의 동작 제어 방법
15 15
제 14 항에 있어서, 블록 A가 선택되어 LLC 블록 쓰기가 수행되는 과정에서, 소프트 영역을 변환시키는 전류는 미디움 영역과 하드 영역을 변환시키지 못하는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC의 동작 제어 방법
16 16
제 14 항에 있어서, 블록 B가 선택되어 LLC 블록 쓰기가 수행되는 과정에서, 블록 B를 구성하는 미디움 영역에 쓰기 동작이 먼저 수행되고, 병렬 TLC MTJ에서 미디움 영역을 변환시키는 전류는 하드 영역을 변환시키지 못하기 때문에 블록 C는 원래 저장된 데이터를 유지하고,미디움 영역을 변환시키는 전류는 소프트 영역을 변환시킬 수 있어 블록 A를 구성하는 병렬 TLC MTJ들의 소프트 영역을 복원하기 위한 쓰기 동작이 수행되는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC의 동작 제어 방법
17 17
제 14 항에 있어서, 블록 C가 선택되어 LLC 블록 쓰기가 수행되는 과정에서,블록 C를 구성하는 하드 영역에 쓰기 동작이 먼저 수행되고, 병렬 TLC MTJ에서 하드 영역을 변환시키는 전류는 미디움과 소프트 영역들을 변환시킬 수 있기 때문에, 블록 B를 구성하는 미디움 영역의 복원과 블록 A를 구성하는 소프트 영역의 복원하는 쓰기 동작이 순차적으로 수행되는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC의 동작 제어 방법
18 18
제 13 항에 있어서, 조건 블록 스와핑에 의해 상위 캐시의 갱신 데이터가 셀 분할 매핑이 적용된 LLC에 저장되는 과정에서,갱신될 LLC 블록이 병렬 TLC MTJ의 소프트 영역으로 구성되어 있다면, 갱신 데이터는 그 LLC 블록에 저장되고,갱신될 LLC 블록이 병렬 TLC MTJ들의 미디움 영역으로 구성되어 있다면, 갱신 데이터는 그 미디움 영역의 병렬 TLC MTJ들을 공유하는 소프트 영역으로 구성된 블록으로 바꿔 저장할 수 있는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC의 동작 제어 방법
19 19
제 13 항에 있어서, 조건 블록 스와핑에 의해 상위 캐시의 갱신 데이터가 셀 분할 매핑이 적용된 LLC에 저장되는 과정에서,갱신될 LLC 블록이 병렬 TLC MTJ들의 하드 영역으로 구성되어 있다면, 갱신 데이터는 그 하드 영역의 병렬 TLC MTJ들을 공유하는 미디움과 소프트 영역으로 구성된 블록으로 바꿔 저장할 수 있는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC의 동작 제어 방법
20 20
제 13 항에 있어서, 캐시 실패로 발생한 메인 메모리 데이터가 셀 분할 매핑이 적용된 LLC에 저장되는 과정에서,메인 메모리 데이터는 LRU(Least Recently Used)또는 모조(Pseudo) LRU 알고리즘으로 선택되는 LLC 블록에 저장되고, 선택된 블록이 병렬 TLC MTJ들의 소프트 영역으로 구성되어 있다면, 다른 블록의 데이터는 손상되지 않고,선택된 블록이 미디움 영역으로 구성되어 있다면, 그 미디움 영역과 병렬 TLC MTJ들을 공유하는 소프트 영역으로 구성된 블록의 데이터는 손상되어 복원하고,선택된 블록이 하드 영역으로 구성되어 있다면, 그 하드 영역의 병렬 TLC MTJ들을 공유하는 미디움 영역과 소프트 영역으로 구성된 블록들의 데이터는 손실되어 복원되는 것을 특징으로 하는 병렬 TLC STT MRAM 기반 대용량 LLC의 동작 제어 방법
지정국 정보가 없습니다
패밀리정보가 없습니다
순번, 연구부처, 주관기관, 연구사업, 연구과제의 정보를 제공하는 이전대상기술 뷰 페이지 상세정보 > 국가R&D 연구정보 정보 표입니다.
순번 연구부처 주관기관 연구사업 연구과제
1 교육부 단국대학교 기본연구지원사업(Ez) 사물인터넷을 위한 분산 딥러닝